Wafer Inspection - Semiconductor Engineering

文章推薦指數: 80 %
投票人數:10人

The idea is to find a defect of interest on a wafer. In the inspection process, a wafer inspection tool takes a photo of a die. Then, the tool takes a photo of ... Home Systems&Design LowPower-HighPerformance Manufacturing,Packaging&Materials Test,Measurement&Analytics Auto,Security&PervasiveComputing SpecialReports Videos Jobs KnowledgeCenter TechnicalPapers Home'; AI/ML/DLArchitecturesAutomotiveCommunication/DataMovementDesign&VerificationLithographyManufacturingMaterialsMemoryOptoelectronics/PhotonicsPackagingPower&PerformanceQuantumSecurityTest&AnalyticsTransistorsZ-EndApplications Events&Webinars Events Webinars Research&Startups IndustryResearch StartupCorner MENU Home SpecialReports Systems&Design LowPower-HighPerformance Manufacturing,Packaging&Materials Test,Measurement&Analytics Auto,Security&PervasiveComputing KnowledgeCenter Videos StartupCorner Jobs TechnicalPapers Events Webinars IndustryResearch SpecialReports Home> Home> WaferInspection KnowledgeCenter Navigation Search KnowledgeCenter WaferInspection Thescienceoffindingdefectsonasiliconwafer. Description Waferinspection,thescienceoffindingdefectsonawafer,isbecomingmorechallengingandcostlyateachnode.Thisisduetoprocessshrinks,designcomplexitiesandnewmaterials.Inaddition,theabilitytodetectsub-30nmdefectsischallengingwithtoday’sopticalinspectiontools. Theideaistofindadefectofinterestonawafer.Intheinspectionprocess,awaferinspectiontooltakesaphotoofadie.Then,thetooltakesaphotoofanotherdieandcomparesthem.Ifthere’sachange,that’sgenerallyadefect. Fromthere,thecomplexitiesmount.Inoneexampleofthechallenges,theinspectiontoolmayfinddefectsonawafer.Thesystemmayalsodetectwhatiscommonlycalledanuisance.Insimpleterms,anuisanceisanirregularityorfalsedefectonthewafer,butisnotadefectofinterest. Inthepast,atoolcouldprocesstheinformationanddelineatethedifferencebetweenthedefectsandnuisancesonamap.Butinmoreadvancednodes,thenuisancesanddefectsappeartobebunchedtogetheronthemap.Andit’sbecomingmoredifficulttodistinguishthedifferencesbetweenthetwo. Inafab,chipmakersgenerallymakeuseofseveraldifferenttypesofwaferinspectiontooltechnologies: 1.Brightfieldinspection.Using193nmlightsources,brightfieldinspectionistheworkhorsetechnologyinthefab.Usedtofinddefectsduringthetransistorfabricationprocess,brightfieldcollectslightreflectedfromadefect.Inturn,thedefectappearsdarkagainstawhitebackground. Ingeneral,optical-basedinspectioncaneasilyfinddefectsdownto30nm.Thegreyareaforopticalissomewherebetween20nmto10nm,butthetechnologyisbeingstretchedtothelimitbelow10nm,accordingtoexperts. 2.Darkfieldinspection.Usedintheproductionflow,darkfieldisthemeasurementoflightreflectedatalowerangle.Darkfieldisbasedonopticaltechnology. 3.Electron-beaminspection.Intheflow,chipmakersfirstusee-beaminspection,mainlyforengineeringanalysis.E-beamisabletofindthesmallestdefectsinchips.Theresolutionsaredownto3nm.Butthethroughputsaretooslowtoputthesetoolsintotheproductionflow. 4.Multi-beame-beaminspection.Toboostthethroughputsine-beaminspection,theindustryisworkingonatechnologythatmakesuseofmultiplebeams.StillinR&D,thefirsttoolscouldappearinlate2015orearly2016.Eventhen,multi-beaminspectionwon’treplacetraditionalopticalande-beamtools. Therearesimilaritiesanddifferencesbetweenmulti-beaminspectionandmulti-beamfordirect-writelithographyapplications.Inbothcases,multi-beamtechnologyischallenging.Theelectronsinthecolumnstendtodisturbeachother,whichimpacttheperformanceofasystem. Inmulti-beaminspection,thereareseveraltypesofapproaches-multiplebeams;multiplecolumnsandmultiple-objectivelens;multiplecolumnsandsingle-objectivelens;andminiaturecolumns.Ontopofthat,agiventoolcouldhavearangeofbeamcounts. RelatedStories StrategiesForFasterYieldRampsOn5nmChips PublishedonApril12,2022 FundamentalShiftsInICManufacturingProcesses PublishedonMarch14,2022 HBM,NanosheetFETsDriveX-rayFabUse PublishedonMarch8,2022 PCBAndICTechnologiesMeetInTheMiddle PublishedonOctober12,2021 FabsDriveDeeperIntoMachineLearning PublishedonSeptember7,2021 DesigningChipsForTestData PublishedonAugust10,2021 WhyWaferBumpsAreSuddenlySoImportant Publishedon ICDataHotPotato:WhoOwnsAndManagesIt? PublishedonJuly6,2021 ReliabilityCostsBecomingHarderToTrack PublishedonJune8,2021 ManagingWaferRetest PublishedonMay19,2021 ShowMore» RelatedBlogs NipTheDefectInTheBud PublishedonApril12,2022 AddressingTheABFSubstrateShortageWithIn-LineMonitoring PublishedonMarch8,2022 DeepLearningDeliversFast,AccurateSolutionsForObjectDetectionInTheAutomatedOpticalInspectionOfElectronicAssemblies PublishedonDecember7,2021 StrategiesForMeetingStringentStandardsForAutomotiveICs PublishedonOctober26,2021 InTheSpotlight:WhatIsResponsibleForTheSurgingDemandForCIS? PublishedonSeptember7,2021 UnderstandingOpticalInspectionForCIS PublishedonMay11,2021 MeasuringReflectiveSurfaces PublishedonJune15,2020 TheConvergenceOfAdvancedPackagingAndSMT PublishedonApril7,2020 ChallengesAndSolutionsForSiliconWaferBevelDefectsDuring3DNANDFlashManufacturing PublishedonMay23,2019 NewImagingTechFindsBuriedDefects Publishedon ShowMore» ParentCenters SemiconductorManufacturing WaferFabTesting Subcenters E-beamInspection OpticalInspection RelatedWhitePapers CharacterizationOfMicro-BumpsFor3DICWaferAcceptanceTests PublishedonAugust10,2021 ResidualStressWithEIGER2R500K Publishedon CharacterizationOfCMPProcessesWithWhiteLightInterferometry PublishedonJune8,2021 What’sWAT?AnOverviewOfWAT/PCMData PublishedonOctober12,2020 SiliconPhotonics:AutomatedWafer-LevelProbingAndSiliconPhotonics PublishedonSeptember8,2020 DefectImageClassificationAndDetectionWithDeepLearning PublishedonMarch9,2020 HiddenSoldierJointsInspection:4CaseStudies PublishedonMay7,2019 NewMetrologyandInspectionTechnologiesNeededforMore-Than-MooreMarkets PublishedonNovember14,2018 AdvancedDefectInspectionTechniquesFornFETAndpFETDefectivityAt7nmGatePolyRemovalProcess PublishedonSeptember20,2018 InnovativeScalableDesign-BasedCareAreaMethodologyForDefectMonitoringInProduction PublishedonMay17,2018 ShowMore» NewsletterSignup 2.5D Multiplechipsarrangedinaplanarorstackedconfigurationwithaninterposerforcommunication. 3D 3DICs 2.5Dand3Dformsofintegration 3DNAND Amemoryarchitectureinwhichmemorycellsaredesignedverticallyinsteadofusingatraditionalfloatinggate. 5G Next-generationwirelesstechnologywithhigherdatatransferrates,lowlatency,andabletosupportmoredevices. Abriefhistoryofdesign WestartwithschematicsandendwithESL Abriefhistoryoflogicsimulation Importanteventsinthehistoryoflogicsimulation Abriefhistoryoflogicsynthesis Earlydevelopmentassociatedwithlogicsynthesis Acronyms Commonlyandnot-so-commonlyusedacronyms. ADAS:AdvancedDriverAssistanceSystems Sensingandprocessingtomakedrivingsafer. Advanced(Smart)Fill Atnewernodes,moreintelligenceisrequiredinfillbecauseitcanaffecttiming,signalintegrityandrequirefillforalllayers. AdvancedPackaging Acollectionofapproachesforcombiningchipsintopackages,resultinginlowerpowerandlowercost. Agile Anapproachtosoftwaredevelopmentfocusingoncontinualdeliveryandflexibilitytochangingrequirements AgileHardwareDevelopment HowAgileappliestothedevelopmentofhardwaresystems AirGap Awayofimprovingtheinsulationbetweenvariouscomponentsinasemiconductorbycreatingemptyspace. AmbientIntelligence Acollectionofintelligentelectronicenvironments. Analog Semiconductorsthatmeasurereal-worldconditions Analogcircuits Analogintegratedcircuitsareintegratedcircuitsthatmakearepresentationofcontinuoussignalsinelectricalform. AnalogDesignandVerification Thedesignandverificationofanalogcomponents. ApplicationProgrammingInterface(API) Asoftwaretoolusedinsoftwareprogrammingthatabstractsalltheprogrammingstepsintoauserinterfaceforthedeveloper. Applicationspecificintegratedcircuit(ASIC) Acustom,purpose-builtintegratedcircuitmadeforaspecifictaskorproduct. Application-SpecificStandardProduct(ASSP) AnICcreatedandoptimizedforamarketandsoldtomultiplecompanies. Architectures ArtificialIntelligence(AI) Usingmachinestomakedecisionsbaseduponstoredknowledgeandsensoryinput. Assertion Codethatlooksforviolationsofaproperty AtomicForceMicroscopy(AFM),AtomicForceMicroscope(AFM) Amethodofmeasuringthesurfacestructuresdowntotheangstromlevel. AtomicLayerDeposition(ALD) Amethodofdepositingmaterialsandfilmsinexactplacesonasurface. AtomicLayerEtch(ALE) ALEisanext-generationetchtechnologytoselectivelyandpreciselyremovetargetedmaterialsattheatomicscale. AutomaticTestEquipment(ATE) AutomaticTestPatternGeneration(ATPG) Thegenerationofteststhatcanbeusedforfunctionalormanufacturingverification Automotive Issuesdealingwiththedevelopmentofautomotiveelectronics. AutomotiveEthernet,TimeSensitiveNetworking(TSN) TimesensitivenetworkingputsrealtimeintoautomotiveEthernet. AvalancheNoise Noiseinreversebiasedjunctions AVM VerificationmethodologycreatedbyMentor Backend-of-the-line(BEOL) ICmanufacturingprocesseswhereinterconnectsaremade. Bandgap Batteries Devicesthatchemicallystoreenergy. BehavioralSynthesis Transformationofadesigndescribedinahigh-levelofabstractiontoRTL Biometrics Securitybasedonscansoffingerprints,palms,faces,eyes,DNAormovement. BlechEffect Areverseforcetoelectromigration. BluetoothLowEnergy AlsoknownasBluetooth4.0,anextensionoftheshort-rangewirelessprotocolforlowenergyapplications. Brazil BSIM Transistormodel Built-inself-test(BiST) On-chiplogictotestadesign. BusFunctionalModel Interfacemodelbetweentestbenchanddeviceundertest C,C++ C,C++aresometimesusedindesignofintegratedcircuitsbecausetheyofferhigherabstraction. CacheCoherentInterconnectforAccelerators(CCIX) Interconnectstandardwhichprovidescachecoherencyforacceleratorsandmemoryexpansionperipheraldevicesconnectingtoprocessors. CANbus AutomotivebusdevelopedbyBosch CD-SEM:Critical-DimensionScanningElectronMicroscope CD-SEM,orcritical-dimensionscanningelectronmicroscope,isatoolformeasuringfeaturedimensionsonaphotomask. CDCdesignprinciples MakingCDCinterfacespredictable Cell-AwareTest Faultmodelforfaultswithincells Cell-AwareTestforFinFET Cell-awaretestmethodologyforaddressingdefectmechanismsspecifictoFinFETs. CentralProcessingUnit(CPU) TheCPUisandedicatedintegratedcircuitorIPcorethatprocesseslogicandmath. Characterization/MetrologyLab AlabthatwrkswithR&Dorganizationsandfabsinvolvedintheearlyanalyticalworkfornext-generationdevices,packagesandmaterials. Checker Testbenchcomponentthatverifiesresults ChemicalVaporDeposition(CVD) Aprocessusedtodevelopthinfilmsandpolymercoatings. China ChipDesign Designistheprocessofproducinganimplementationfromaconceptualform ChipDesignandVerification Thedesign,verification,implementationandtestofelectronicssystemsintointegratedcircuits. ChipThermalInterfaceProtocol Exchangeofthermaldesigninformationfor3DICs Chiplets ClockDomainCrossing(CDC) Asynchronouscommunicationsacrossboundaries ClockGating Dynamicpowerreductionbygatingtheclock ClockTreeOptimization Designofclocktreesforpowerreduction Cloud ThecloudisacollectionofserversthatrunInternetsoftwareyoucanuseonyourdeviceorcomputer. CMOS Fabricationtechnology Cobalt Cobaltisaferromagneticmetalkeytolithium-ionbatteries. CodeCoverage Metricsrelatedtoaboutofcodeexecutedinfunctionalverification CombinatorialEquivalenceChecking Verifyfunctionalitybetweenregistersremainsunchangedafteratransformation Communications Theplumbingonchip,amongchipsandbetweendevices,thatsendsbitsofdataandmanagesthatdata. Communicationssystems Companies&Organizations Compiled-codeSimulation Fasterformforlogicsimulation ComplementaryFET(CFET) ComplementaryFET,anewtypeofverticaltransistor. ComputeExpressLink(CXL) InterconnectbetweenCPUandaccelerators. Contact Thestructurethatconnectsatransistorwiththefirstlayerofcopperinterconnects. ConvolutionalNeuralNetwork(CNN) Atechniqueforcomputervisionbasedonmachinelearning. Coverage Completionmetricsforfunctionalverification Crosstalk Interferencebetweensignals Cryptoprocessors Cryptoprocessorsarespecializedprocessorsthatexecutecryptographicalgorithmswithinhardware. CurrentIntellectualPropertyCompanies CompaniessupplyingIPorIPservices DarkSilicon AmethodofconservingpowerinICsbypoweringdownsegmentsofachipwhentheyarenotinuse. DataAnalytics DataanalyticsusesAIandMLtofindpatternsindatatoimproveprocessesinEDAandsemimanufacturing. DataAnalytics&Test Howsemiconductorsaresortedandtestedbeforeandafterimplementationofthechipinasystem. DataCenters AdatacenterisaphysicalbuildingorroomthathousesmultipleserverswithCPUsforremotedatastorageandprocessing. Dataprocessing Dataprocessingiswhenrawdatahasoperandsappliedtoitviaacomputerorservertoprocessdataintoanotheruseableform.Thisdefinitioncategoryincludeshowandwherethedataisprocessed. DeFactoStandards Astandardthatcomesaboutbecauseofwidespreadacceptanceoradoption. Debug Theremovalofbugsfromadesign DeepLearning(DL) Deeplearningisasubsetofartificialintelligencewheredatarepresentationisbasedonmultiplelayersofamatrix. Definitions Dennard’sLaw Anobservationthatasfeaturesshrink,sodoespowerconsumption. Deposition DesignforManufacturing(DFM) ActionstakenduringthephysicaldesignstageofICdevelopmenttoensurethatthedesigncanbeaccuratelymanufactured. DesignforTest(DFT) Techniquesthatreducethedifficultyandcostassociatedwithtestinganintegratedcircuit. DesignPatent Protectionfortheornamentaldesignofanitem DesignRuleChecking(DRC) Aphysicaldesignprocesstodetermineifchipsatisfiesrulesdefinedbythesemiconductormanufacturer DesignRulePatternMatching Locatingdesignrulesusingpatternmatchingtechniques. DeviceNoise Sourcesofnoiseindevices DFTandClockGating Insertionoftestlogicforclock-gating DiamondSemiconductors Awide-bandgapsyntheticmaterial. DigitalIP CategorizationofdigitalIP DigitalOscilloscope Allowedanimagetobesaveddigitally DigitalSignalProcessor(DSP) Adigitalsignalprocessorisaprocessoroptimizedtoprocesssignals. DigitalTwins Adigitalrepresentationofaproductorsystem. DirectedSelf-Assembly(DSA) Acomplementarylithographytechnology. DNAbiometrics DNAanalysisisbaseduponuniqueDNAsequencing. DNAChips Usingdeoxyribonucleicacidtomakechipshacker-proof. DoublePatterning Apatterningtechniqueusingmultiplepassesofalaser. DoublePatterningMethodologies Coloredandcolorlessflowsfordoublepatterning DRAM:DynamicRandomAccessMemory Singletransistormemorythatrequiresrefresh DynamicVoltageandFrequencyScaling(DVFS) Dynamicallyadjustingvoltageandfrequencyforpowerreduction e HardwareVerificationLanguage E-beamInspection Aslowermethodforfindingsmallerdefects. E-BeamLithography Lithographyusingasinglebeame-beamtool EDA&Design EdgeComputing EdgePlacementError(EPE) ThedifferencebetweentheintendedandtheprintedfeaturesofanIClayout. Electromigration Electromigration(EM)duetopowerdensities ElectronicDesignAutomation(EDA) ElectronicDesignAutomation(EDA)istheindustrythatcommercializesthetools,methodologiesandflowsassociatedwiththefabricationofelectronicsystems. ElectronicSystemLevel(ESL) LevelsofabstractionhigherthanRTLusedfordesignandverification ElectrostaticDischarge(ESD) Transferofelectrostaticcharge. EmbeddedFPGA(eFPGA) AneFPGAisanIPcoreintegratedintoanASICorSoCthatofferstheflexibilityofprogrammablelogicwithoutthecostofFPGAs. Emulation Specialpurposehardwareusedforlogicverification EnergyHarvesting Capturingenergyfromtheenvironment Engineers:Jobs&Education EnvironmentalNoise Noisecausedbytheenvironment Epitaxy Amethodforgrowingordepositingmonocrystallinefilmsonasubstrate. ErasableProgrammableReadOnlyMemory(EPROM) ProgrammableReadOnlyMemorythatwasbulkerasable. eRM Reusemethodologybasedontheelanguage ErrorCorrectionCode(ECC) Methodsfordetectingandcorrectingerrors. Ethernet Ethernetisareliable,openstandardforconnectingdevicesbywire. EUV:ExtremeUltravioletLithography EUVlithographyisasoftX-raytechnology. FablessSemiconductorCompanies FailureAnalysis Findingoutwhatwentwronginsemiconductordesignandmanufacturing. Fan-Outs Awayofincludingmorefeaturesthatnormallywouldbeonaprintedcircuitboardinsideapackage. FaultSimulation Evaluationofadesignunderthepresenceofmanufacturingdefects Femtocells Thelowestpowerformofsmallcells,usedforhomeWiFinetworks. FerroelectricFETs(FeFET) FerroelectricFETisanewtypeofmemory. FieldProgrammableGateArray(FPGA) Reprogrammablelogicdevice Fill Theuseofmetalfilltoimproveplanarityandtomanageelectrochemicaldeposition(ECD),etch,lithography,stresseffects,andrapidthermalannealing. FinFET Athree-dimensionaltransistor. FlashMemory non-volatile,erasablememory FlexibleHybridElectronics(FHE) Integratedcircuitsonaflexiblesubstrate FlexRayISO17458 Anautomotivecommunicationsprotocol FlickerNoise Noiserelatedtoresistancefluctuation Flip-Chip Atypeofinterconnectusingsolderballsormicrobumps. ForksheetFET AtransistortypewithintegratednFETandpFET. FormalVerification Formalverificationinvolvesamathematicalprooftoshowthatadesignadherestoaproperty FullyDepletedSiliconOnInsulator(FD-SOI) FD-SOIisasemiconductorsubstratematerialwithlowercurrentleakagecomparedthanbulkCMOS. FunctionalCoverage Coveragemetricusedtoindicateprogressinverifyingfunctionality FunctionalDesignandVerification FunctionalDesignandVerificationiscurrentlyassociatedwithalldesignandverificationfunctionsperformedbeforeRTLsynthesis. FunctionalVerification Functionalverificationisusedtodetermineifadesign,orunitofadesign,conformstoitsspecification. GageR&R,GageRepeatabilityAndReproducibility Astatisticalmethodfordeterminingifatestsystemisproductionreadybymeasuringvariationduringtestforrepeatabilityandreproducibility. GalliumNitride(GaN) GaNisaIII-Vmaterialwithawidebandgap. Gate-All-AroundFET(GAAFET) ApossiblereplacementtransistordesignforfinFETs. Gate-LevelPowerOptimizations Powerreductiontechniquesavailableatthegatelevel. Generation-RecombinationNoise noiserelatedtogeneration-recombination GenerativeAdversarialNetwork(GAN) Aneuralnetworkframeworkthatcangeneratenewdata. Germany Germanyisknownforitsautomotiveindustryandindustrialmachinery. Graphene 2Dformofcarboninahexagonallattice. GraphicsProcessingUnit(GPU) Anelectroniccircuitdesignedtohandlegraphicsandvideo. GuardBanding Addingextracircuitsorsoftwareintoadesigntoensurethatifonepartdoesn'tworktheentiresystemdoesn'tfail. HardIP FullydesignedhardwareIPblock HardwareAssistedVerification Useofspecialpurposehardwaretoaccelerateverification HardwareModeler Historicalsolutionthatusedrealchipsinthesimulationprocess hardware/softwareco-design Optimizingthedesignbyusingasinglelanguagetodescribehardwareandsoftware. HeatDissipation Powercreatesheatandheataffectspower HetNet High-BandwidthMemory(HBM) Adense,stackedversionofmemorywithhigh-speedinterfacesthatcanbeusedinadvancedpackaging. High-LevelSynthesis(HLS) SynthesistechnologythattransformsanuntimedbehavioraldescriptionintoRTL HSAPlatformSystemArchitectureSpecification DefinesasetoffunctionalityandfeaturesforHSAhardware HSAProgrammer’sReferenceManual HSAILVirtualISAandProgrammingModel,CompilerWriter,andObjectFormat(BRIG) HSARuntimeProgrammer’sReferenceManual RuntimecapabilitiesfortheHSAarchitecture HybridCloud Combinesuseofapubliccloudservicewithaprivatecloud,suchasacompany'sinternalenterpriseserversordatacenters. HyperscaleDataCenters Adatacenterfacilityownedbythecompanythatofferscloudservicesthroughthatdatacenter. I/Oenablingtechnology ICTypes Whatarethetypesofintegratedcircuits? IEEE1076-VHSICHWDescriptionLanguage HardwareDescriptionLanguage IEEE1076.1-Analog&Mixed-Signal AnalogextensionstoVHDL IEEE1076.1.1-VHDL-AMSStandardPackages AcollectionofVHDL1076.1packages IEEE1076.4-VHDLSynthesisPackage–FloatingPoint Modelingofmacro-cellsinVHDL IEEE1149BoundaryScanTest BoundryScanTest IEEE1364-Verilog IEEEratifiedversionofVerilog IEEE1364.1-VerilogRTLSynthesis StandardforVerilogRegisterTransferLevelSynthesis IEEE1532-in-systemprogrammability(ISP) Extensionto1149.1forcomplexdeviceprogramming IEEE1647-FunctionalVerificationLanguagee Functionalverificationlanguage IEEE1666-StandardSystemC SystemC IEEE1685-IP-XACT StandardforintegrationofIPinSystem-on-Chip IEEE1687-IEEEStandardforAccessandControlofInstrumentationEmbedded IEEEStandardforAccessandControlofInstrumentationEmbeddedwithinaSemiconductorDevice IEEE1800-SystemVerilog IEEEratifiedversionofSystemVerilog IEEE1800.2–UVM UniversalVerificationMethodology IEEE1801-Design/VerificationofLow-Power,Energy-AwareUPF IEEEStandardforDesignandVerificationofLow-PowerIntegratedCircuitsalsoknownbyitsAccelleranameofUnifiedPowerFormat(UPF) IEEE1838:TestAccessArchitecturefor3DStackedIC StandardforTestAccessArchitectureforThree-DimensionalStackedIntegratedCircuits IEEE1850-PropertySpecificationLanguage(PSL) Verificationlanguagebasedonformalspecificationofbehavior IEEE802.1-HigherLayerLANProtocols IEEE802.1isthestandardandworkinggroupforhigherlayerLANprotocols. IEEE802.11-WirelessLAN IEEE802.11workinggroupmanagesthestandardsforwirelesslocalareanetworks(LANs). IEEE802.15-WirelessSpecialtyNetworks(WSN) IEEE802.15istheworkinggroupforWirelessSpecialtyNetworks(WSN),whichareusedinIoT,wearablesandautonomousvehicles. IEEE802.18-RadioRegulatoryTAG "RR-TAG"isatechnicaladvisorygroupsupportingIEEEstandardsgroupsworkingon802.11,802.12,802.16,802.20,802.21,and802.22. IEEE802.19-WirelessCoexistence Standardsforcoexistencebetweenwirelessstandardsofunlicenseddevices. IEEE802.22-WirelessRegionalAreaNetworks Enablesbroadbandwirelessaccessusingcognitiveradiotechnologyandspectrumsharinginwhitespaces. IEEE802.3-Ethernet IEEE802.3-EthernetworkinggroupmanagestheIEEE802.3-Ethernetstandards. IEEEP2415:UnifiedHWAbstraction&LayerforEnergyProportionalElectronicSystems StandardforUnifiedHardwareAbstractionandLayerforEnergyProportionalElectronicSystems IEEEP2416-PowerModeling PowerModelingStandardforEnablingSystemLevelAnalysis IIoT:IndustrialInternetofThings SpecificrequirementsandspecialconsiderationfortheInternetofThingswithinanIndustrialsettiong Impactoflithographyonwafercosts Wafercostsacrossnodes ImplementationPowerOptimizations Poweroptimizationtechniquesforphysicalimplementation In-MemoryComputing Performingfunctionsdirectlyinthefabricofmemory. InducedGateNoise Thermalnoisewithinachannel InstructionSetArchitecture(ISA) Asetofbasicoperationsacomputermustsupport. Insulated-GateBipolarTransistors(IGBT) IGBTsarecombinationsofMOSFETsandbipolartransistors. IntegratedCircuits(ICs) Integrationofmultipledevicesontoasinglepieceofsemiconductor IntegratedDeviceManufacturer(IDM) Asemiconductorcompanythatdesigns,manufactures,andsellsintegratedcircuits(ICs). IntellectualProperty(IP) Adesignorverificationunitthatispre-packedandavailableforlicensing. IntelligentSelf-OrganizingNetworks Networksthatcananalyzeoperatingconditionsandreconfigureinrealtime. InterPartesReview Methodtoascertainthevalidityofoneormoreclaimsofapatent Interconnects(BEOL) Buses,NoCsandotherformsofconnectionbetweenvariouselementsinanintegratedcircuit. InternetofThings(IoT) AlsoknownastheInternetofEverything,orIoE,theInternetofThingsisaglobalapplicationwheredevicescanconnecttoahostofotherdevices,eacheitherprovidingdatafromsensors,orcontainingactuatorsthatcancontrolsomefunction.DatacanbeconsolidatedandprocessedonmassintheCloud. Interposers Fast,low-powerinter-dieconduitsfor2.5Delectricalsignals. InverseLithographyTechnology(ILT) Findingidealshapestouseonaphotomask. IonImplants Injectionofcriticaldopantsduringthesemiconductormanufacturingprocess. IoT&IIoT IP-XACTWorkingGroup StandardforintegrationofIPinSystem-on-Chip IRDrop Thevoltagedropwhencurrentflowsthrougharesistor. ISO26262Terminology TerminologyinISO26262 ISO26262–Functionalsafety Standardrelatedtothesafetyofelectricalandelectronicsystemswithinacar ISO/PAS21448–SOTIF Standardtoensureproperoperationofautomotivesituationalawarenesssystems. ISO/SAEFDIS21434-RoadVehicles—CybersecurityEngineering Astandard(underdevelopment)forautomotivecybersecurity. Israel Issues Koomey’sLaw Theenergyefficiencyofcomputersdoublesroughlyevery18months. Languages Languagesareusedtocreatemodels Laws LayoutversusSchematicChecking(LVS) Deviceandconnectivitycomparisonsbetweenthelayoutandtheschematic LevelShifters Cellsusedtomatchvoltagesacrossvoltageislands Lidar:LightDetectionAndRanging Measuringthedistancetoanobjectwithpulsedlasers. LINbus Lowcostautomotivebus LineEdgeRoughness(LER) Deviationofafeatureedgefromidealshape. Lint Removalofnon-portableorsuspiciouscode LithoEtchLithoEtch(LELE) LELEisaformofdoublepatterning LithoFreezeLithoEtch Atypeofdoublepatterning. Lithography Lightusedtotransferapatternfromaphotomaskontoasubstrate. Lithographyk1coefficient Coefficientrelatedtothedifficultyofthelithographyprocess LogicResizing Correctlysizinglogicelements LogicRestructuring Restructuringoflogicforpowerreduction LogicSimulation Asimulatorisasoftwareprocessusedtoexecuteamodelofhardware LowPower LowPowerMethodologies Methodologiesusedtoreducepowerconsumption. LowPowerVerification Verificationofpowercircuitry Low-PowerDesign LVDS(low-voltagedifferentialsignaling) Atechnicalstandardforelectricalcharacteristicsofalow-powerdifferential,serialcommunicationprotocol. MachineLearning(ML) Anapproachinwhichmachinesaretrainedtofavorbasicbehaviorsandoutcomesratherthanexplicitlyprogrammedtodocertaintasks.Thatresultsinoptimizationofbothhardwareandsoftwaretoachieveapredictablerangeofresults. MagnetoresistiveRAM(MRAM) Usesmagneticpropertiestostoredata Makimoto’sWave Observationrelatedtotheamountofcustomandstandardcontentinelectronics. ManufacturingExecutionSystem(MES) Trackingawaferthroughthefab. ManufacturingNoise Noisesourcesinmanufacturing Materials Semiconductormaterialsenableelectroniccircuitstobeconstructed. Memory Asemiconductordevicecapableofretainingstateinformationforadefinedperiodoftime. MemoryBanking Useofmultiplememorybanksforpowerreduction MEMS MicroelectromechanicalSystemsareafusionofelectricalandmechanicalengineeringandaretypicallyusedforsensorsandforadvancedmicrophonesandevenspeakers. MetalOrganicChemicalVaporDeposition(MOCVD) AkeytoolforLEDproduction. Metamaterials Artificialmaterialscontainingarraysofmetalnanostructuresormega-atoms. Metastability Unstablestatewithinalatch Metcalfe’sLaw Observationthatrelatesnetworkvaluebeingproportionaltothesquareofusers MethodologiesandFlows Describestheprocesstocreateaproduct Metrology Metrologyisthescienceofmeasuringandcharacterizingtinystructuresandmaterials. Microcontroller(MCU) Microprocessor,MicroprocessorUnit(MPU) Theintegratedcircuitthatfirstputacentralprocessingunitononechipofsilicon. Mixed-Signal Theintegrationofanaloganddigital. Models ModelsandAbstractions Modelsareabstractionsofdevices MoldedInterconnectSubstrate(MIS) Amidrangepackagingoptionthatofferslowerdensitythanfan-outs. Monolithic3DChips Awayofstackingtransistorsinsideasinglechipinsteadofapackage. Moore’sLaw ObservationrelatedtothegrowthofsemiconductorsbyGordonMoore. Mote Amoteisamicro-sensor. Multi-Beame-BeamLithography Anadvancedformofe-beamlithography Multi-chipModules(MCM) Anearlyapproachtobundlingmultiplefunctionsintoasinglepackage. Multi-CornerMulti-ModeAnalysis Increasingnumbersofcornerscomplicatesanalysis.Concurrentanalysisholdspromise. Multi-sitetesting Usingatestertotestmultiplediesatthesametime. Multi-Vt Useofmulti-thresholdvoltagedevices MultiplePatterning AwaytoimageICdesignsat20nmandbelow. MXenes Adurableandconductivematerialoftwo-dimensionalinorganiccompoundsinthinatomiclayers. NanoimprintLithography Ahotembossingprocesstypeoflithography. NanosheetFET Atypeoffield-effecttransistorthatuseswiderandthickerwiresthanalateralnanowire. NearThresholdComputing Optimizingpowerbycomputingbelowtheminimumoperatingvoltage. Near-MemoryComputing Movingcomputeclosertomemorytoreduceaccesscosts. NegativeBiasTemperatureInstability(NBTI) NBTIisashiftinthresholdvoltagewithappliedstress. NeuralNetworks Amethodofcollectingdatafromthephysicalworldthatmimicsthehumanbrain. NeuromorphicComputing Acomputearchitecturemodeledonthehumanbrain. Nodes Noise Randomfluctuationsinvoltageorcurrentonasignal. Non-VolatileMemory(NVM) Off-chipcommunications On-chipcommunications One-Time-ProgrammableMemory(OTP) ProgrammableReadOnlyMemory(PROM)andOne-Time-Programmable(OTP)Memorycanbewrittentoonce. OpenSystemsInterconnectionmodel(OSImodel) OSImodeldescribesthemaindatahandoffsinanetwork. OpenVerificationMethodology(OVM) VerificationmethodologycreatedfromURMandAVM OperandIsolation Disablingdatapathcomputationwhennotenabled OpticalInspection Methodusedtofinddefectsonawafer. OpticalLithography OpticalProximityCorrection(OPC) Awaytoimprovewaferprintabilitybymodifyingmaskpatterns. OutsourcedSemiconductorAssemblyandTest(OSAT) CompanieswhoperformICpackagingandtesting-oftenreferredtoasOSAT Overlay Theabilityofalithographyscannertoalignandprintvariouslayersaccuratelyontopofeachother. Packaging Howsemiconductorsgetassembledandpackaged. PAM-4Signaling Ahigh-speedsignalencodingtechnique. PartAverageTesting(PAT) Outlierdetectionforasinglemeasurement,arequirementforautomotiveelectronics. Patents Apatentisanintellectualpropertyrightgrantedtoaninventor Patterning Pellicle Athinmembranethatpreventsaphotomaskfrombeingcontaminated. Phase-ChangeMemory Memorythatstoresinformationintheamorphousandcrystallinephases. Photomask Atemplateofwhatwillbeprintedonawafer. PhotonicIntegratedCircuit(PIC) Photoresist Light-sensitivematerialusedtoformapatternonthesubstrate. PhysicalDesign Designandimplementationofachipthattakesphysicalplacement,routingandartifactsofthoseintoconsideration. PhysicalVaporDeposition(PVD) PVDisadepositionmethodthatinvolveshigh-temperaturevacuumevaporationandsputtering. PhysicalVerification Makingsureadesignlayoutworksasintended. PhysicallyUnclonableFunctions(PUFs) Asetofuniquefeaturesthatcanbebuiltintoachipbutnotcloned. Picocells Asmallcellthatisslightlyhigherinpowerthanafemtocell. PinSwapping Loweringcapacitiveloadsonlogic Planar PODEM AnalgorithmusedATPG PortableStimulus(PSS) HardwareVerificationLanguage,PSSisdefinedbyAccelleraandisusedtomodelverificationintentinsemiconductordesign. PowerConsumption Componentsofpowerconsumption PowerCycleSequencing Powerdomainshutdownandstartup PowerDefinitions Definitionsoftermsrelatedtopower PowerDeliveryNetwork(PDN) Movingpoweraroundadevice. PowerEstimation Howispowerconsumptionestimated PowerGating Reducingpowerbyturningoffpartsofadesign PowerGatingRetention Specialfloporlatchusedtoretainthestateofthecellwhenitsmainpowersupplyisshutoff. PowerIsolation Additionofisolationcellsaroundpowerislands PowerIssues Powerreductionatthearchitecturallevel PowerManagementCoverage Ensuringpowercontrolcircuitryisfullyverified PowerManagementIC(PMIC) Anintegratedcircuitthatmanagesthepowerinanelectronicdeviceormodule,includinganydevicethathasabatterythatgetsrecharged. PowerMOSFETs Apowersemiconductorusedtocontrolandconvertelectricpower. PowerSemiconductors,PowerIC ApowerICisusedasaswitchorrectifierinhighvoltagepowerapplications. PowerSupplyNoise Noisetransmittedthroughthepowerdeliverynetwork PowerSwitching Controllingpowerforpowershutoff PowerTechniques Power-AwareDesign Techniquesthatanalyzeandoptimizepowerinadesign Power-AwareTest Testconsiderationsforlow-powercircuitry PPA(Power,Performance,Area) Fundamentaltradeoffsmadeinsemiconductordesignforpower,performanceandarea. PrintedCircuitBoard(PCB) Thedesign,verification,assemblyandtestofprintedcircuitboards PrivateCloud DatacentersandITinfrastructurefordatastorageandcomputingthatacompanyownsorsubscribestoforuseonlybythatcompany. Process ProcessPowerOptimizations poweroptimizationtechniquesattheprocesslevel ProcessVariation Variabilityinthesemiconductormanufacturingprocess ProcessorUtilization Ameasurementoftheamountoftimeprocessorcore(s)areactivelyinuse. Processors AnintegratedcircuitorpartofanICthatdoeslogicandmathprocessing. PropertySpecificationLanguage Verificationlanguagebasedonformalspecificationofbehavior PublicCloud Datastorageandcomputingdoneinadatacenter,throughaserviceofferedbyacloudserviceprovider,andaccessedonthepublicInternet. QuantumComputing Adifferentwayofprocessingdatausingqubits. RadioFrequencySiliconOnInsulator(RF-SOI) RFSOIistheRFversionofsilicon-on-insulator(SOI)technology. RandomTelegraphNoise Randomtrappingofchargecarriers RapidThermalAnneal(RTA),RapidThermalProcessing(RTP) Theprocessofrapidlyheatingwafers. RareEarthElements Criticalmetalsusedinelectronics. ReadOnlyMemory(ROM) ReadOnlyMemory(ROM)canbereadfrombutcannotbewrittento. RecurrentNeuralNetwork(RNN) Anartificialneuralnetworkthatfindspatternsindatausingotherdatastoredinmemory. RedistributionLayers(RDLs) Coppermetalinterconnectsthatelectricallyconnectonepartofapackagetoanother. RegionalDevelopments/Issues ReliabilityVerification Designverificationthathelpsensuretherobustnessofadesignandreducesusceptibilitytoprematureorcatastrophicelectricalfailures. ReRAMmaterials MaterialsusedtomanufactureReRAMs ResistiveRAM(ReRAM/RRAM) Memoryutilizingresistivehysteresis Reticle Synonymouswithphotomask. RichInteractiveTestDatabase(RITdb) Aproposedtestdatastandardaimedatreducingtheburdenfortestengineersandtestoperations. RISC-V Anopen-sourceISAusedindesigningintegratedcircuitsatlowercost. RootofTrust Trustedenvironmentforsecurefunctions. RTL(RegisterTransferLevel) Anabstractionfordefiningthedigitalportionsofadesign RTLPowerOptimizations OptimizationofpowerconsumptionattheRegisterTransferLevel RTLSignoff AseriesofrequirementsthatmustbemetbeforemovingpasttheRTLphase RVM VerificationmethodologybasedonVera SATSolver Algorithmusedtosolveproblems ScanTest Additionallogicthatconnectsregistersintoashiftregisterorscanchainforincreasedtestefficiency. Scoreboard Mechanismforstoringstimulusintestbench SCVSystemCVerification TestbenchsupportforSystemC Self-AlignedDoublePatterning(SADP) Aformofdoublepatterning. SemiconductorManufacturing Subjectsrelatedtothemanufactureofsemiconductors SemiconductorSecurity Methodsandtechnologiesforkeepingdatasafe. SensorFusion Combininginputfrommultiplesensortypes. Sensors Sensorsareabridgebetweentheanalogworldweliveinandtheunderlyingcommunicationsinfrastructure. serializer/deserializer(SerDes) Atransmissionsystemthatsendssignalsoverahigh-speedconnectionfromatransceiverononechiptoareceiveronanother.Thetransceiverconvertsparalleldataintoserialstreamofdatathatisre-translatedintoparallelonthereceivingend. ShiftLeft Insemiconductordevelopmentflow,tasksonceperformedsequentiallymustnowbedoneconcurrently. ShortChannelEffects Whenchannellengthsarethesameorderofmagnitudeasdepletion-layerwidthsofthesourceanddrain,theycauseanumberofissuesthataffectdesign. ShotNoise Quantizationnoise SideChannelAttacks Aclassofattacksonadeviceanditscontentsbyanalyzinginformationusingdifferentaccessmethods. SiliconCarbide(SiC) Awide-bandgaptechnologyusedforFETsandMOSFETsforpowertransistors. SiliconPhotonics Theintegrationofphotonicdevicesintosilicon Simulation Asimulatorexercisesofmodelofhardware SimulationAcceleration Specialpurposehardwareusedtoacceleratethesimulationprocess. SimultaneousSwitchingNoise Disturbanceingroundvoltage SingletransistorDRAM SingletransistorDRAM SmallCells Wirelesscellsthatfillinthevoidsinwirelessinfrastructure. SoftIP SynthesizableIPblock Software-DrivenVerification Verificationmethodologyutilizingembeddedprocessors Software/HardwareInterfaceforMulticore/Manycore(SHIM)processors Definesanarchitecturedescriptionusefulforsoftwaredesign SPICE CircuitSimulatorfirstdevelopedinthe70s SpikingNeuralNetwork(SNN) Atypeofneuralnetworkthatattemptstomorecloselymodelthebrain. Spin-OrbitTorqueMRAM(SOT-MRAM) AtypeofMRAMwithseparatepathsforwriteandread. SpreadSpectrum Asecuremethodoftransmittingdatawirelessly. StandardEssentialPatent Apatentthathasbeendeemednecessarytoimplementastandard. StandardTestDataFormat(STDF) Themostcommonlyuseddataformatforsemiconductortestinformation. Standards Standardsareimportantinanyindustry. Standards&Laws Startups StaticRandomAccessMemory(SRAM) SRAMisavolatilememorythatdoesnotrequirerefresh StimulusConstraints Constraintsontheinputtoguiderandomgenerationprocess Stochastics,Stochastic-InducedDefects RandomvariablesthatcausedefectsonchipsduringEUVlithography. STT-MRAM AnadvancedtypeofMRAM SubstrateBiasing UseofSubstrateBiasing SubstrateNoise Couplingthroughthesubstrate. Switches Networkswitchesroutedatapackettrafficinsidethenetwork. SynchronousDRAM TypeofDRAMwithfastertransfer SystemInPackage(SiP) AmethodforbundlingmultipleICstoworktogetherasasinglechip. SystemonChip(SoC) Asystemonchip(SoC)istheintegrationoffunctionsnecessarytoimplementanelectronicsystemontoasinglesubstrateandcontainsatleastoneprocessor SystemC AclasslibrarybuiltontopoftheC++languageusedformodelinghardware SystemC-AMS Analogandmixed-signalextensionstoSystemC SystemVerilog Industrystandarddesignandverificationlanguage TensorProcessingUnit(TPU) Google-designedASICprocessingunitformachinelearningthatworkswithTensorFlowecosystem. Testbench Softwareusedtofunctionallyverifyadesign ThermalNoise Noiserelatedtoheat Through-SiliconVias(TSVs) Through-SiliconViasareatechnologytoconnectvariousdieinastackeddieconfiguration. Transistors Basicbuildingblockforbothanaloganddigitalcircuits. TransitionRateBuffering Minimizingswitchingtimes TriplePatterning Amulti-patterningtechniquethatwillberequiredat10nmandbelow. TunnelFET AtypeoftransistorunderdevelopmentthatcouldreplacefinFETsinfutureprocesstechnologies. UL4600–StandardforSafetyfortheEvaluationofAutonomousProducts Standardforsafetyanalysisandevaluationofautonomousvehicles. UnifiedCoverageInteroperabilityStandard(Verification) TheUnifiedCoverageInteroperabilityStandard(UCIS)providesanapplicationprogramminginterface(API)thatenablesthesharingofcoveragedataacrosssoftwaresimulators,hardwareaccelerators,symbolicsimulations,formaltoolsorcustomverificationtools. UnifiedHWAbstraction&LayerforEnergyProportionalElectronicSystems UnifiedPowerFormat(UPF) AccelleraUnifiedPowerFormat(UPF) UniversalVerificationMethodology(UVM) Verificationmethodology URM SystemVerilogversionofeRM UserInterfaces Userinterfacesistheconduitahumanusestocommunicatewithanelectronicsdevice. UtilityPatent Patenttoprotectaninvention Vera HardwareVerificationLanguage Verification VerificationIP(VIP) Apre-packagedsetofcodeusedforverification. VerificationMethodologies Astandardizedwaytoverifyintegratedcircuitdesigns. VerificationPlan Adocumentthatdefineswhatfunctionalverificationisgoingtobeperformed Verilog HardwareDescriptionLanguageinusesince1984 VerilogProceduralInterface ProceduralaccesstoVerilogobjects Verilog-AMS AnalogextensionstoVerilog VHDL HardwareDescriptionLanguage VirtualPrototype Anabstractmodelofahardwaresystemenablingearlysoftwareexecution. VMM VerificationmethodologybuiltbySynopsys Voicecontrol,speechrecognition,voice-userinterface(VUI) Usingvoice/speechfordevicecommandandcontrol. VolatileMemory Memorythatlosesstorageabilitieswhenpowerisremoved. VoltageIslands Useofmultiplevoltagesforpowerreduction VonNeumannArchitecture Thebasicarchitectureformostcomputingtoday,basedontheprinciplethatdataneedstomovebackandforthbetweenaprocessorandmemory. WaferFabTesting Verifyingandtestingthediesonthewaferafterthemanufacturing. WaferInspection(whereyouare) Thescienceoffindingdefectsonasiliconwafer. WideI/O:memoryinterfacestandardfor3DIC 3Dmemoryinterfacestandard Wiredcommunications Wiredcommunication,whichpassesdatathroughwiresbetweendevices,isstillconsideredthemoststableformofcommunication. Wireless Awayofmovingdatawithoutwires. XArchitecture ICinterconnectarchitecture XVerification XPropagationcausesproblems YieldManagementSystem(YMS) Adata-drivensystemformonitoringandimprovingICyieldandreliability. Zero-DayVulnerabilities,Attacks Avulnerabilityinaproduct’shardwareorsoftwarediscoveredbyresearchersorattackersthattheproducingcompanydoesnotknowaboutandthereforedoesnothaveafixforyet. AartdeGeus AdamKablanian AdityaMittal AdnanHamid AdrianSimionescu AhmedHemani AjayDaga AjoyK.Bose AkashDeshpande AkiFujimura AlAkermann AlainFanet AlainJ.Hanover AlakeshChetia AlanScott AlbertoSangiovanni-Vincentelli AlexAlexanian AlexanderSamoylov AlisaYaffa AllanDouglas AmirZarkesh AmitGupta AmitMehrotra AmitNarayan AmitSaxena AmrMohsen An-ChangDeng An-YuKuo AnantAgarwal AndrásPoppe AndreaCasotto AndreasRipp AndreasVeneris AndreiTcherniaev AndrewHughes AndrewT.Yang AndrzejStrojwas AndyChou AndyGoodrich AndyHuang AndyLadd AndyLin AngeAznar AnmolMathur AnupamBakshi ApoSezginer ApostolosLiapist AramMirkazemi AriTakanen ArminBiere ArnaudSchleich ArulSharan ArvindMithal AryehFinegold AsenAsenov AshawnaHailey AshrafTakla AsokeK.Laha AtsushiKasuya AtulBhagat AtulBhatia AurangzebKhan AvidehZakhor AvishaiSilvershatz AxelJantsch BabuChilukuri BadruAgarwala BarryKatz BarryRosales BartDeSmedt BeckyCavanaugh BenChelf BenLevine BendtSorensen BernardVonderschmitt BernieRosenthal BillBerg BillBuckie BillChilds BillHoover BillKrieger BillNeifert BillRobertson BillSommer BimanChattopadhyay BingYeh BobFlatt BobHunter BobQuinn BorgarLjosland BorisGruzman BradQuinton BrianDavenpoort BruceM.Holland BryanHoyer CarsonBradbury CarverMead CésarDouady CharDevich CharlesEdelstenne CharlesEvans CharlesJ.(Chuck)Abronson CharlieCheng CharlieHuang ChengWang ChenmingHu Chi-LaiHuang Ching-ChaoHuang Chioumin(Michael)Chang ChongMing(Frank)Lin ChoukiAktouf ChrisSchalick ChrisWilson ChrisCurry ChrisRosebrugh ChrisRowen ChristianMasson ChristopheAlexandre Chung-KuanCheng ClaesStrannegård ClaudioBasile CleveMoler Clifton(Cliff)Lyons ClintonW.Kelly CobyZelnik ColinHunter CraigHarris CraigHonegger CraigGleason CraigStoops CristianAmitroaie CyrilSpasevski CyrusAfghahi DaChuang DamianSmith DanAbrams DanChapiro DanJaskolski DanMalek DaneshTavana DanielHansson DaveGregory DaveMillman DaveMoffenbeier DavidMarple DavidBotting DavidChyan DavidCoelho DavidE.Long DavidGalloway DavidGreaves DavidHamilton DavidHenke DavidJohannsen DavidNovosel DavidOverhauser DavidPark DavidPellerin DavidR.Stevens DavidStamm DavidStewart DavidYao DavorinMista DawsonEngler DeanDrako DeepakShankar DeepakKumarTala DejanMarkovic DerekKing DevadasVarma DeveshGuatam DianaMarculescu DirkLanneer DominikStrasser DonEmilPezzolo DonMcInnis Don-MinTsou DonaldBennett DougFairbairn DrewE.Wingard DuncanBremner DurgaLakshmiSangisetti EdBlackmond EdmundK.Cheng EdvardSørgård EdwardA.Lee EdwardKomp EdwardN.Evans EginoSarto ElenaPotanina EliYablonovitch EllisSmith EnnoWein EricRyherd EricBeisser EricDormer EricDupont EricDupont-Nivet EricPeers EricT.Hennenhoefer ErikLauwers EsinTerzioglu EunSeiPark EwaldDetjens FadilKotaji Fang-ChengChang Fang-LiYuan FarakhJavid FergusSlorach FiaJohansson FirasMohamed Founder(s)Unknown FrançoisConstant FrancisBernard FrankGennari FrankCosta FrankDeRemer FrankSchenkel FranzDugand FredericReblewski Frederick(Fred)Saal FuadMusa FumiakiSato GabiLeshem GaganHasteer GanapathySubramaniam GeneDancause GeneMarsh GeoffreyTate GeraldH.Langeler GeraldL(Jerry)Frenkil GeraldPechanek GerhardAngst GertGoossens Ghassan(Gus)Y.Yacoub GhislainKaiser GiacintoPaoloSaggese GilWinograd GlenM.Antle GopaPeriyadan GopalKrishnaNayak GordonB.Hoffman GordonBaty GordonE.Moore GrahamHellestrand GrantA.Pierce GregDoyle GregHoeppner GregLloyd GregM.Ordy GregoryRecupero GuidoArnout GünterKeil GuyBois GuydeBurgh HalAlles HalConklin HamidSavoj HaraldNeubauer HardeepGulati HarmArts HarnHuaNg HarveyC.Jonesjr. HayderMrabet HazemElTahawy HeinvanderWildt HeinrichMeyr HelmutGräb HelmutMahr HenrikPallisgaard HenryCox HermannHauser HiroMoriyasu HollyStump HowardL.Martin HowardPakosh IanLankshear IanPage IanTsybulkin IhaoChen IvanPesic J.EricBracken J.GeorgeJanac JackHerrick JackHarding JackLittle JackPeng JacobBen-Meir James(Jim)Fiske James(Jim)Ready JamesB.Morris JamesC.Rautio JamesE.(Jim)Solomon JamesG.Crocker JamesGirand JamesTruchard JamesVBarnettII JamsheedAgahi JanakH.Patel JaneKarwoskiMcCracken JasonCampbell JasonCong JasonXing JauherZaidi JaushinLee JayAvula JeanBarbier JeanBrouwers Jean-LucPelloie Jean-PhilippeLambert Jean-PierreAppel Jean-PierreLecailliez Jean-YvesBrena JeffFox JeffBier JeffGalloway JeffKodosky JeffTuan JensC.Michelsen JensJ.TyboJensen JensP.Tagore-Brage Jeong-TyngLi JeremyBirch JeromeVanthournout JesperKnudsen JezSan JianX.Zheng JimMcCanny JimSansbury JinsongZhao JoeHiggins JoeTanous JoeTatham JoergGrosse JoeyY.Lin JohanVanPraet JohanPeeters JohannFoucher JohannesEmigholz JohnGilbert JohnA.Swanson JohnCharlesCarveth JohnCroix JohnDurbetaki JohnF.Cooper JohnGoodenough JohnHalfpenny JohnHall JohnHatfield johnJudkins JohnK.Kibarian JohnLee JohnLoftonHolt JohnManeatis JohnMills JohnOtt JohnR.Maticich JohnSanguinetti JohnTanner JohnathanWeiss JohnsonLimqueco JonathanCagan JonathanRose JordanSwartz JosephSkazinski JosephB.Costello JosephE.Pekarek JosephLee JosephRothman JoshLee JuliuszPoltz Jun-JyehHsiao JørnNystad K.CharlesJanac K.C.Shih KaiwinLee KamranElahian KannankoteSriram KarelMasarik KarenVahtra KaushikI.Sheth KavithaTala KeithShort KeithSeymour KeithWhisnant KenMcElvain KenMatusow KenSeymour KenTseng KennethL.Shepard KevinChou KevinHotaling KevinLadd KhalilShalish KimHailey KimonMichaels KirvyTeo KurtMatis L.CurtisWiddoesJr. L.JohnDoerrIII L.RichardCarley LarryCarver LarryLewis LarryRubin Lars-EricLundgren LaurentMoss LaurentRougé LawrenceT.(Larry)Pileggi LeeTavrow LeiHe LevA.Markov LiminHe Lip-BuTan LisaMcIlrath LloydPople LotharLinhard LucBurgun LucioLanza LukasvanGinneken LutzP.Henckles MahaZaidi MaheenHamid MaheshRao MahshadKoohgoli MannyMarcano MarHershenson MarcRenaudin MarcWitteman MarcelinoSantos MarcoRubinstein MargaridaSousa MargieLevine MarioBlazevic MarkBeardslee MarkCianfaglione MarkHampton MarkHorowitz MarkO’Donovan MarkOlen MarkR.Templeton MarkSantoro MarkWaller MarkWilliams Mark-EricJones MarkusMergens MarleenBoonen MartinBaechtold MartinLanghammer MartinLefebvre MartinWalker MartinWilson MathiasSilvant MauriceWhelan MaurizioArienzo MaximilianOdendahl MehmetA.Cirit MelGilmore MichaelMcNamara MichaelAlam MichaelBurstein MichaelD.Hoyt MichaelGoldstone MichaelJ.Jamiolkowski MichaelMagranet MichaelNicolaidis MichaelPronath MichaelWakim MichelOger MikeRieger MikeBartley MikeBorza MikeChandler MikeDini MikeFarmwald MikeKliment MikeLee MikeMeredith MikeScase MikeYunghoTsai MikkoVarpiola MiltonR.Smith MishaBurich MohamedKassem MohanR MojyChian MorrisChang MuratAlaybeyi MustafaCelik NaeemZafar NageshGupta NaveedSherwani NaveenChava NeilJohnson NeilRoberts NickCobb NickMartin NickMartin NickyLu NicolasDelorme NingNan NoahSturcken NormanChang OleChristianAndersen OlivierLepape OriBraun OscarBuset P.T.Patel PascalPeru PatrickJ.Ready PaulCunningham Paul(Yen-Son)Huang PauldeDood PaulHarvell PaulJohnson PaulLevine PaulLindermann PaulM.Hubbard PaulNewhagen PaulNguon PaulRodman PaulvanBesouw PaulWells PeerSchmitt PengweiQian PetePopov PeterEichenberger PeterDenyer PeterFlake PeterIvey PeterMeuris PeterOdryna PeterPetrov PeterRip PetroEstakhri PhilMoorby PhilTharp PhilippeBoucard PhilippeDiehl PhilippeDuchene PierreMarty PrabVarma PrabhatAggarwal PrabhuGoel PradeepFernandes PradeepVajram PrakashNarain PravinMadhani R.DeanAdams R.MarkGogolewski R.K.Patil RaghavendraMohanV RaikBrinkmann RajRaghavan RajeevMadhavan Rajendran(Raj)Nair RajitManohar RajitChandra RajivKumar RalfHuuck RamS.Ramanujam RaminHojati RamyIskander RandyEager RandyAllen RandyCaplan RandyDeffert RandyRhea RaulCamposano RauliKaksonen RavenderGoyal RaviMehta RaviShankarRao RaviThummarukudy RayBulgar RaymondTurin ReinhardKeil RémiButaud RhondaDirvin RichWitek RichardC.(Dick)Foss RichardChang RichardDoherty RichardMeacham RichardRudell RichardTaylor RichardWeber RickCarlson RickLazansky RobA.Rutenbar RobDekker RobGowin RobertHarland RobertKurshan RobertBlackburn RobertH.Dennard RobertHartmann RobertNoyce RobertSmith RobiDutta RogerSturgeon RogerGook RonMaxwell RonaldA.Rohrer RossFeeman RoyPrasad SagarReddy SaileshKumar SallyShlaer SalvatoreCarcia SamAppleton SamKim SamirShroff SandeepSrinivasan SandipanBhanot SangS.Wang SanjayMittal SanjayKSrivastava SarangPadalkar SatishBagalkotkar SatishPadmanabhan SatyaGupta ScottR.Powell ScottT.Becker ScottW.Houghton SeanSafarpour SergeMaginot SethHallem ShahramBesharati ShailAditya ShajidThiruvathodi ShaneFlint SharadKapur ShayBen-Chorin ShayMizrachi ShenLin SherifEid ShivSikand ShivTasker ShubhodeepRoyChoudhury SimonButler SimonDavidmann SimonGarrison SimonN.Springall SnehanshuShah Soo-YoungOh SotirisBantast SrikanthJadcherla SrinathAnantharaman SrinivasanDurai StanislavRuev StanleyM.Hyduke StanleyOsher StanleyYang StefanBirman StephaneHauradou StéphaneLeclercq StephenCrosher StephenFairbanks StephenJ.Mellor SteveTeig SteveBangert SteveBarlow SteveCarlson SteveSapiro SteveWalsh SteveWhite SteveWilcox SteveYang StevenHeinz StevenL’Her StevenWang SudhirKadkade SueKunz SujoyChakravarty SundarIyer SundariMitra SunilJain SunilSamel SunilTalwar SyconZohar SydneyLovely SylvianKaiser TaborSmith TaeHoonSong TakShigihara TakisBreyiannis TallisBlalack TapanJoshi TarakParikh TaylorScanlon TerryBrewer ThomasKailath ThomasNiermann ThomasSchultz TimHaynes TobiasBjerregaard ToddMasey TomPaddock TomBruggere TomCesear TomHarris TomMcWilliams TomQuarles TonyCurzon-Price ToshioNakama TrentMcClements TrentMcConaghy UmaBondada UriTal VahagnPoghosyan VaughnBetz VenkatIyer VenugopalKolathur VictorSavenko VigyanSinghal VikramJandhyala VincentPerrier VincentThibaut VinodK.Agarwal VinodKathail VinodNarayanan ViranthaN.Ekanayake VishalMoondhra VivekRaghavan VivekBhat VivekPawar VladPotanin VladimirSchellbach VojinZivojnovic WaiYan(William)Ho Walden(Wally)Rhines WallyHaas WalterChan WalterDaems WarrenSavage WayneDai WayneMarking WeihuaSheng Weiping(Peter)Shi WeizeXie WernerGeurts WillHerman WillemvanCleemput WilliBrandenburg William“Bill”Billowitch WimSchoenmaker WimVerhaegen WlodekKurjanowicz WojciechSakowski WolframBüttner Wu-TungCheng WłodzimierzWrona XerxesWania XishengZhang Xuequn(Kevin)Xiang Yao-TingWang Yi(Bob)Xu YoavHollander YorgosKoutsoyannopoulost Youn-Long(Steve)Lin YuanLu YunshanZhu YuriFeinberg Z.MSimonLi ZhihongLiu ZhonghaiLu ZiedMarrakchi ZviOr-Bach 0-InDesignAutomationInc. 3SoftCorporation @HDL AachenUniversityofTechnology ACADCorp AccelTechnologiesInc. AccelerantNetworks,Inc. AcceleratedTechnology(UK)Ltd. AcceleratedTechnologyInc. AcceliconTechnologies Accellera AccelleraSystemsInitiative AccelleraUCISWG AccentS.R.L AccoladeDesignAutomation ACEOTechnology AchronixSemiconductorCorporation AcornComputerGroup ActelCorp. AdaptIP ADASSoftware AdelanteTechnology AdestoTechnologiesCorp. Adicsys AdvancedCAMTechnologies,Inc. AdvancedMicroDevices(AMD) AdvancedMicroelectronics AdvancedRISCMachinesLtd. AdvancedTechnologyCenter AdvancedTestTechnology,Inc. AdvantestCorporation AGGIOS,Inc. AgilentEEsofEDA AgilentTechnologies AgilityDesignSolutions Agnisys,Inc. AlarityCorporation AlchemySemiconductor Aldec,Inc. AlgotochipCorporation AllantSoftware AllegroDVT Alphabit AltaGroupofCadence AlteraCorporation Altium,Inc. AltiusSolutionsInc. AltosDesignAutomation,Inc. AmbitDesignSystems,Inc. AMIQEDA AmkorTechnology AMSAG AnacadElectricalEngineeringSoftwareGmbH AnagramInc. AnalogBitsInc. AnalogDesignAutomation,Inc. AnalogDesignToolsInc. AnalogyInc. Anasim AndesTechnology AndesTechnologyCorp. Ansys Antares AntrimDesignSystemsInc. ApacheDesignSolutions,Inc. ApicalLtd. APLACSolutionsCorp. AplusDesignTechnologiesInc. AppliedMaterials,Inc. AppliedSimulationTechnology AppliedWaveResearch,Inc. ApresTechnologies ApteqDesignSystemsInc. AptixCorporation ArasanChipSystems ARCInternationalPLC ArcadSA ArcadiaInnovation,Inc. ArcherSystems ArchProDesignAutomation,Inc. ArcSysInc. ArexsysS.A. ArgonDesignLtd. AristoTechnology,Inc. Arithmatica Arium ArkosDesignSystems ArkosEmulationUnit Arm ARMSoCDesigner ArrowDevicesPvt.Ltd. ARSMicrosystemsLtd. ArterisFlexNoCandFlexLLIproductlines ArterisIP Arteris,Inc. ArtisanComponents,Inc. ASE(AdvancedSemiconductorEngineering) ASML AspecTechnologyInc ASSETInterTech ASTC AstronicsTestSystems Asygn AtairGmbH AtaiTecCorp. ATEEDALtd. AtlanticAerospaceElectronicsCorp. ATopTech Atrenta,Inc. Ausdia,Inc. AutoESLDesignTechnologies,Inc. AutomatedIntegratedDesignSystems AutomatedSystems,Inc. AutomaticParallelDesigns AutomotiveElectronicsCouncil(AEC) AvalonMicroelectronicsInc. Avant!Corporation Averant,Inc. AverStar AveryDesignSystems AwardSoftware AxiomDatererSkandinavienAB AxiomDesignAutomation AxisSystems,Inc. AXYSDesignAutomation,Inc. Azuro,Inc. A|RTTechnologyofAdelante BDTI BeachSolutions BellLabsDAgroupofLucent BeneluxB.V BerkeleyDesignAutomation,Inc. BlazeDFM BluePearlSoftware,Inc. Bluespec,Inc. BOPS,Inc. BoulderCreekEngineering BrandenburgGmbH BrekerVerificationSystems BrewerScience Bridges2Silicon,Inc. BriteSemiconductor Broadcom Bruker BTATechnology BTAUltimaInc. CLevelDesign,Inc. C2DesignAutomation CADFrameworkInitiative CadabraDesignAutomation,Inc. Cadence802.11wirelessLANIP CadenceDesignFoundry CadenceDesignSystems CadencePANTAIPcores CadisGmbH CADIXCorporation CADIXECADdivision CadMOSDesignTechnology,Inc. CadnetixCorporation CAEsystems CAETechnologyInc. CaecoInc. CaedentCorporation CaetekInc. CaliforniaDesignAutomation,Inc. CalmaCompany CalyptoDesignSystems,Inc. CarbonDesignSystems CARDtoolsSystems CarnegieMellonUniversity CascadeSemiconductorSolutions,Inc. CAST,Inc. CatalyticInc. CatapultCProductDivision CEA CEA-Leti CelestryDesignTechnologiesInc. CeloxicaHoldingPlc. CertessInc. CertusSemiconductor CEVA CheckLogicSystemsinc. Chip&Chip,Inc. ChipEstimateCorp ChipPathDesignSystems CHIPitbusinessunit ChipStartLLC ChronologicSimulation ChronologyInc. ChrysalisSymbolicDesign,Inc. CIDATechnology,Inc. CIM-TeamGmbH CiraNovaInc. ClearShapeTechnologies Cliosoft,Inc. CLKComputer-AidedDesign,Inc. CLKDesignAutomation,Inc. Co-DesignAutomation,Inc. CodasipLtd. Codefast,Inc CodenomiconOy CodeSourceryInc. CoFluentDesign ComdiscoSystemsInc. ComLSI CompactModelCoalition CompassDesignAutomation CompiledDesignsGmbH ComputerSimulationTechnologyGmbH Computervision,Inc. Computing-Tabulating-RecordingCompany ConceptEngineeringGmbH ContextCorporation ContourDesignSystems,Inc. ConversantIntellectualPropertyManagement CooperandChyanTechnologyInc. CortusS.A.S. CosmicCircuits CoSoftLtd. CouncilofEDAStandardsCommittee Coventor,aLamResearchCompany CoverMeterTool CoWareLLC CoyoteSystems Cre8Ventures CredenceSystemsCorporation CriticalBlue CrosslightSoftware,Inc. CyberOptics CycleCandothertechnologyassets Cynapps D2S DaisySystemsCorporation DassaultSystèmes Dasys DataI/O DatalinkFarEast,Ltd Dazix DDE-EDAA/S DeerbrookSystemsInc. DefactoTechnologies DefenseAdvancedResearchAgency(DARPA) DelSoftIndiaPvt.Ltd DELTAMicroelectronics DenaliSoftware,Inc. DesantageCorporation DescartesAutomationSystems DesconInformationsSystemeGmbH DesignAccelerationInc. DesignAdvanceSystemsInc. DesignPROInc. DétenteTechnology,Inc. DiabloResearchCo.LLC DigitalBlocks DiniGroup DoceaPower DolphinIntegration DoradoDesignAutomation,Inc. Doulos dQdt,Inc. DRC:DA DSMTechnologiesInc. DSPDivisionofPhilipsSemiconductor DuologTechnologiesLtd. DXCorrDesign,Inc. DynamicSoftanalysisInc. E-Z-CAD,Inc. EagleDesignAutomation Eagleware,Inc. Eagleware-Elanix eASICCorporation eBeamInitiative eBizAutomationInc. ECADInc. ÉcolePolytechniquedeMontréal ECSI EDASystems EDAC EdXactSA EEsof,Inc. efabless.com Elanix,Inc. ElectronicSystemDesignAlliance EllipticTechnologies ElsipAB EMADesignAutomation EmbeddedAlleySolutions EmbeddedPerformanceInc. EmbeddedSolutionsLimited EmbeddedVisionAlliance EmulationandVerificationEngineering EmulationdivisionofMitsuiBussan EnSilicaLtd. EntasysDesignInc. EPICDesignTechnology,Inc. EscaladeCorp. eSiliconCorporation ESLassetsofAgility ESLassetsofCeloxica EsperanLtd. eTopDesignAutomation EuroMIPSSystems EuropeanCADStandardizationInitiative EuropeanDesignCenter EuropeanMicroelectronicsAcademy EVGroup EvansAnalyticalGroup EvatronixIPDesignBusiness EvatronixSA EverCADCorporation EverestDesignAutomation,Inc. ExcellentDesignInc. ExcelliconInc. ExemplarLogic,Inc. EximiusDesign ExperTest ExpertIO,Inc. ExpressiveSystems ExtremeDA,Corp. FairchildSemiconductor FalanxMicrosystemsAS FEI–KnightsTechnology FEICompany FenixDesignAutomation FerricSemiconductorInc. FidusSystemsInc. FirstEarthLtd. FishTailDesignAutomation,Inc. FlexLogixTechnologies,Inc. FlexrasTechnologiesSAS FlomericsEMsoftware FlomericsGroupPLC FlometricsgroupPlc FlowmasterLtd. FormFactor ForteDesignSystems FPGAtechnologyofKilopass FractalTechnologies FraunhoferIISEAS Freescale–VirtualGarage FreescaleSemiconductor FrequencyTechnology FrontierDesign FrontlineDesignAutomation,Inc. G-AnalogDesignAutomationLtd. GalaxySemiconductor GambitAutomatedDesign,Inc. Gatefield GateRocket GatewayDesignAutomation GearDesignSolutions,Inc. GeminiDesignTechnologyInc. Genedax GeorgiaTech Get2ChipInc. GigaScaleIntegrationCorporation GlobalSemiconductorAlliance GlobalUnichipCorp. GlobalFoundries GoannaSoftwarePtyLtd GoldStandardSimulationsLtd. GradientDA’selectrothermalanalysistechnology GradientDesignAutomation GreenMountainComputingSystems Hammercores,Inc. HARDIElectronicsAB HarnessSoftwareGroup HdLab,K.K. HDACInc. HDLDesignHouse Helic,Inc. HeliosSoftwareEngineeringLtd. HeterogeneousSystemArchitecture(HSA)Foundation Hewlett-PackardCompany HHBassets HHBSoftronInc. HighLevelDesignSystems HighIPDesignCompany HoscharAG HPLabs HPLTechnologies,Inc. Huins HunterandReady HyperLynx,Inc. IBM IBM–Altiumgroup IBMFoundry ICManage ICScape,Inc. ICUCOMCorporation IEC IEEE IEEE1800.2 IEEEDASC IEEESA IKOSSystems,Inc. ImaginationTechnologiesltd Imec iMODL ImperasInc. Impinj impinjNVMIP IMS In-ChipSystems INCASESEngineeringGmbH IncentiaDesignSystems IndependentDesignAutomationCompanies InfineonTechnologies Infiniscale InfiniteDesignsLtd. IngenuusCorporation IngotSystems InnoLogicSystems,Inc. InnotechCorporation InnovativeCADSoftware,Inc. Innoveda,Inc. INRIA inSiliconCorporation InSpecValidationSystem InstigateCJSC IntegrandSoftware IntegratedMeasurementsSystems,Inc. IntegratedSiliconSystems,Inc. IntegratedSystemsEngineeringAG IntegrityEngineering,Inc. IntelCorp. IntelPLDbusiness IntelligentSystemsJapan,KK IntentoDesign InterconnectixInc. InterfacesTechnicalCommittee IntergraphElectronics IntergraphInc. interHDL Intermetrics IntermetricsVHDLsimulator InternationalOrganizationofStandards InterraIT InTimeSoftware Invarian,Inc. Invarium,Inc. Inventra InventureInc. InvionicsInc. IOTATechnologyInc. IPextreme,Inc. iRoCTechnologiesSA ISSCTechnologyCorporation JapanesecustomersofCadencesoftware JasperDesignAutomation JazzSemiconductor,Inc. JCET JedatInc. JEDEC JuniperNetworks,Inc. K2Technologies,Inc. KandouBus Keil KeysightTechnologies KilopassTechnologyInc. KimotionTechnologies KLA KLA-Tencor KnowlentCorporation Kozio,Inc. L-3Communications LamResearch LatticeSemiconductor LedaDesign,Inc. LedaSA LeuvenIndustrialSoftwareCompany LibraryTechnologies,Inc. LighthouseDesignAutomation,Inc. LogicAutomation,Inc. LogicModelingCorporation LogicModelingSystemsInc. LogicalDevices,Inc. LogicVision,Inc. LogipardAB LookingGlassStudios LorentzSolution,Inc. LSILogic LuminescentMaskSynthesistechnology LuminescentTechnologies Magillem MagmaDesignAutomationInc. MagwelNV MarpleTechnologies MarvellTechnologyGroupLtd. MassachusettsInstituteofTechnology MassteckLtd. MathtoolsLtd Mathworks MaximIntegratedInc. MemoryBISTDivisionofiRoC Menta MentorEmbeddedSystemsDivision MentorEmulationDivision MentorMechanicalAnalysisDivision Mentorphysicallibraries MercelAB MercelAUTOSARassets MeropaInc. MetaSystemsSARL Meta-SoftwareInc. MetamorInc. MetaWareInc. Methodics,Inc. MicroMagicEDAassets MicroMagic,Inc. MicrochipTechnology,Inc. MicrocodeEngineeringInc. MicrocosmTechnologiesInc. MicroelectronicsResearch&DevelopmentLtd. MicrologicSolutionsLimited MicrosemiCorporation MicroSimCorp MicrotecResearch,Inc. MintTechnology MIPIAlliance MIPSAnalogBusinessGroup MIPSTechnologies MirabilisDesign MissingLinkTools MitsuiBussanDigitalCorp Mixel,Inc. Mobiveil,Inc. ModelTechnologyInc. Mojave,Inc. MonolithIC3DInc MontereyDesignSystems,Inc. MoortecSemiconductorLtd. MorfikTechnologyPtyLtd. MOSAIDSIPassets MOSAIDTechnologiesInc. Moscape,Inc MOSIS MosysSerDesIP MoSys,Inc. Movellus MulticoreAssociation MunEDAGmbH NanGate,Inc. NannorTechnologiesInc. Nascentric,Inc. NassdaCorporation NationalInstruments NationalResearchCouncilofCanada NationalSemiconducor Neolinear,Inc. NetSpeedSystems NetworkDesignTools,Inc. NeuroCADInc. NexsynDesignTechnologyInc NextDeviceLimited NextOpSoftware,Inc. Nimbic,Inc. NMElectronics NorthwestLogic,Inc. NovarmLimited NovasSoftware Novelics NovoSystemsCorp NovocellSemiconductor,Inc. NPKompleteTechnologiesBV nSysDesignSystemsPrivateLimited NumericalTechnologies,Inc. NuPGA NusymTechnology,Inc. NXPCMOSIP NXPSemiconductor OasysDesignSystemsInc. ObsidianSoftware OCP-IP OmnicadCorp. OneSpinSolutionsGmbH OntoInnovation OPCTechnology OpenNetworksEngineering OpenSystemCInitiative OpenVerilogInternational OpenVirtualPlatforms Open-Silicon,Inc. OptEMEngineeringInc. Optic2ConnectPteLtd OpticalResearchAssociatesLLC OptimalCorporation OptimalSolutions,Inc. OptimalPlus OrCAD OskiTechnology OutofBusiness PacerInfotecInc. PADSSoftwareInc. PalmchipCorporation PalmchipinterfaceIP PanelLevelPackagingConsortium(PLC) ParadigmWorks ParsecSoftwareInc. PCBLibrariesInc. PCBMatrixCorporation PDFSolutions PerformanceCAD PerformanceSignalIntegrity,Inc. Performance-IP,LLC. PersonalCADSystems PextraCorporation PhilipsSemiconductor PhoenixTechnologiesLtd. Physware,Inc. PiEDesignSystemsInc. PinebushTechnologies PlatoDesignSystems PLDA PleiadesDesignandTestTechnologiesInc. PlunifyPteLtd PollenTechnology PolySpaceTechnologies PonteSolutionsInc. PortableStimulusWorkingGroup(PSWG) PowerEscape,Inc. Praesagus,Inc. PrecedenceInc. PrecimCorp PreciseSoftwareTechnologiesInc ProDesignElectronicGmbH ProgressantTechnologies,Inc. ProjectTechnologyInc. Prolific,Inc. ProPlusDesignSolutions,Inc. ProSoftOy proteanTecs Protecode ProtelInternationalPty,Ltd. ProvisCorporation prplfoundation Pulsic PyxisTechnology QDesignAutomation QPointTechnology QPTechnologies QPXGmbH QuadDesignTechnology,Inc. QuadtreeSoftwareCorporation QualcommIncorporated Qualis,Inc. QuickturnDesignSystems,Inc. R3Logic,Inc. RacalRedac RacalRedac–VHDLsimulator RacalRedacSilcSyn RadiantDesignTools,Inc. Rambus,Inc. RandomLogicCorporation RAPID RaveSim,Inc. RAVIcadInc. ReadySystems RealIntent,Inc. RedwoodDesignAutomation RenesasElectronics ReShapeInc RevoSysInc. RightTrackCADCorp. RioDesignAutomation Riscure RivieraWaves Rocketick RouterSoutions,Inc. RoyalDigitalCenters,Inc. RSoftDesignGroupInc. RuntimeDesignAutomation S2CInc. S3Group SabioLabs Safelogic Sagantec SageDesignAutomation,Inc. SamsungFoundry SandMicroelectronics Sandburst SandworkDesign,Inc. SankalpSemiconductor SCALDCorporation SciFaceSoftwareGmbH&Co.KG SDASystemsInc. Sedco SeeTechnologies SeedSolutionsInc. SEMI SemiconductorManufacturingInternationalCorp. Semifore,Inc. SenteInc. SequenceDesign SETOSoftwareGmbH ShivaMultisystemsCorp. Si2 Si2Open3DTechnicalAdvisoryBoard SibridgeTechnologies SiCADInc. SidenseCorp. SiemensEDA(formerlyMentorGraphics) SierraDesignAutomation Sigma-CSoftwareAG SignalIntegritySoftware,Inc. SigneticsCorporation Sigrity,Inc. SilabTechPvtLtd. SilcTechnologies SilerityInc Silexica SiliconArchitects SiliconCanvas,Inc. SiliconCloudInternationalPteLtd SiliconCompilerSystemsCorp. SiliconCompilersInc. SiliconCreations,LLC SiliconDesignLabs SiliconDesignSolutions SiliconForestResearchinc. SiliconFrontlineTechnology,Inc. SiliconLogicEngineering SiliconMetricsCorporation SiliconPerspectiveCorp. SiliconSolutionsCorporation SiliconSorcery SiliconStorageTechnology,Inc. SiliconValleyResearch SiliconWest SiliconBlueTechnologiesCorporation SiliconGateLDA SiliconwarePrecisionIndustries Silvaco,Inc. Silvar-Lisco,Inc. SimonSoftware Simpleware SimplexSolutions SimucadDesignAutomationInc. SimucadInc. SimulationTechnologiesCorp SimuQuest,Inc. SimutechCorporation SiVerion,Inc. SkillCAD,Inc. SmartDVTechnologies SmartechOy SmartPlayTechnologies SMIC Snaketech SOISIC Soitec SolidoDesignAutomationInc. SolidwareTechnologies,Inc. SonicFocusInc Sonics,Inc. SonnetSoftware,Inc. SpaceCodesignSystems,Inc. SpectrumServices SpeedgateInc. SpeedSimInc. SpinCircuitInc SpiraTechLimited SPIRITConsortium Springsoft SRFTechnologies,LLC STAARCorporation StanfordUniversity StanzaSystems,Inc. STATSChipPAC STATSChipPAC StelarToolsLLC STMicroelectronics SummitDesign SummitDesign(new) SunriseTestSystems,Inc. sureCoreLtd SurefireVerificationInc. SwansonAnalysisSystems,Inc. SwitchCoreAB SyconDesign SymbionicsGroupLtd. Symica,LLC SynapseDesignAutomationInc. SynchronousDesignAutomation SynergyDataWorks Synfora,Inc. SynopsysOpticalSolutions SynopsysSiliconLibraryBusiness Synopsys,Inc. Synplicity,Inc. SynthesiaAB SysChipDesignTechnologies Systems&Networks,Inc. SystemsScienceInc. SystemsScience,Inc. SystolicTechnologyLtd. TabulatingMachineCompany TalityCorp TangentSystemsCorporation TannerEDA TannerResearch,Inc. TarayInc. TargetCompilerTechnologiesN.V. TASKINGinc. TEAMCorporation TechniquesNouvelled’InformatiqueSA TechnologyModelingAssociatesInc. Techspert TejaTechnologies Teklatech TektronixCAEandCASEDivisions TektronixdebugIP Tektronix,Inc. TEL(TokyoElectron) TelaInnovations TelesisSystemsCorp TelosVenturePartnership TempusFugit,Inc. TenisonDesignAutomation Tensilica TeradyneCorporation TeraRouteLLC TestandVerificationSolutionsLimited TestDesignAutomationgroupofIBM TharasSystems,Inc. TheSiliconGroup,Inc. THEDAelectronicdesignsoftware Tiempo TIMAlaboratory TimingDesignerTechnology TimingDesignerTechnologyofForte TitanCorporation TOOLCorporation Tool-shaCorporation TortugaLogic TowerSemiconductor,Ltd. TowerJazz TranscendentDesignTechnology,Inc. TranscriptionEnterprises,Inc. TransEDAPLC TranslogicPolskaspzo.o. TransmetaCorporation TranswitchCorp TriCNAssociatesLLC TriMediaTechnologiesInc TrimeterTechnologies TriQuestDesignAutomationinc. TriscendCorporation TrueCircuits,Inc. TruechipSolutions TSMC TSSI TTPCommunications,PLC UltimaInterconnectTechnology,Inc. UltraSoC UMC(UnitedMicroelectronicsCorporation) UniCADInc. Uniquify,Inc. UnisysCorporation Unisyssilicondesignoperation UniversityofCaliforniaatBerkeley UniversityofCambridge,ComputerLaboratory UniversityofPaderborn UniversityofWashington ValidLogicSystems Valiosys ValorComputerizedSystemsLtd. ValtrixSystems ValydateInc. VantageAnalysisSystems,Inc. VaSTSystemsTechnologyCorporation VAutomation VayavyaLabs VCXDatabase VCXSoftware Veeco VennsaTechnologies VeraTest,Inc. Veribest VeridaeSystemsInc. VeriFastTechnologies VerificDesignAutomation,Inc. VerificationIPassetsofQualis VerificationTechnologyCo.,Ltd.(Vtech) VerifyterAB VeriluxDesignTechnology VerisityLtd. Veritools,Inc. VerplexSystemsInc. VeSysLimited VHDLInternational videantisGmbH VideoLogic ViewlogicPCB/SystemsUnit ViewlogicSystems,Inc. VirageLogicCorporation VirSimTool VirtioCorporation VirtualChipsInc. VirtualMachineWorks VirtualSiliconTechnology VivEng VizefLtd. VLABWorks VLSITechnologyInc. VolcanoCommunicationsTechnologiesAB VRInformationSystems VreelinEngineeringInc. VSIA Vtool WavetekMicroelectronicsCorporation WesternDigital WestportTechnologiesInc. WhitesmithsLtd WinterLogic,Inc. Wolfspeed,aCreeCompany XCATInc. XentecInc. XilinxInc. XSEmbeddedGmbH XtremeEDA Xyalis XynetixDesignSystems,Inc yieldHub ZeelanTechnology ZelandSoftware,Inc. ZenasisTechnology ZenteraSystems,Inc. ZerosoftInc. ZocaloTech,Inc. ZukenInc. ZycadCorporation ZycadSystemVHDLUnit ZycadTSS About Aboutus Contactus AdvertisingonSemiEng NewsletterSignUp Navigation Homepage SpecialReports Systems&Design LowPower-HighPerf Manufacturing,Packaging&Materials Test,Measurement&Analytics Auto,Security&PervasiveComputing Videos Jobs TechnicalPapers Events Webinars KnowledgeCenters StartupCorner Bus&MarketingStrategies ConnectWithUs Facebook Twitter@semiEngineering LinkedIn YouTube Copyright©2013-2022SMG  |  TermsofService  |  PrivacyPolicy Thissiteusescookies.Bycontinuingtouseourwebsite,youconsenttoourCookiesPolicyACCEPTManageconsent Close PrivacyOverview Thiswebsiteusescookiestoimproveyourexperiencewhileyounavigatethroughthewebsite.Thecookiesthatarecategorizedasnecessaryarestoredonyourbrowserastheyareessentialfortheworkingofbasicfunctionalitiesofthewebsite.Wealsousethird-partycookiesthathelpusanalyzeandunderstandhowyouusethiswebsite.Wedonotsellanypersonalinformation. Bycontinuingtouseourwebsite,youconsenttoourPrivacyPolicy.Ifyouaccessotherwebsitesusingthelinksprovided,pleasebeawaretheymayhavetheirownprivacypolicies,andwedonotacceptanyresponsibilityorliabilityforthesepoliciesorforanypersonaldatawhichmaybecollectedthroughthesesites.Pleasecheckthesepoliciesbeforeyousubmitanypersonalinformationtothesesites. Necessary Necessary AlwaysEnabled Necessarycookiesareabsolutelyessentialforthewebsitetofunctionproperly.Thiscategoryonlyincludescookiesthatensuresbasicfunctionalitiesandsecurityfeaturesofthewebsite.Thesecookiesdonotstoreanypersonalinformation. Non-necessary Non-necessary Anycookiesthatmaynotbeparticularlynecessaryforthewebsitetofunctionandisusedspecificallytocollectuserpersonaldataviaanalytics,ads,otherembeddedcontentsaretermedasnon-necessarycookies.Itismandatorytoprocureuserconsentpriortorunningthesecookiesonyourwebsite. SAVE&ACCEPT



請為這篇文章評分?